본문 바로가기
language/systemC

[systemC] SC_CTHREAD 사용

by 파란하늘코더 2021. 5. 21.
반응형

기존 포스팅에는 SC_THREAD와 SC_METHOD만 사용했지만,

 

이번엔 SC_CTHREAD를 사용해보겠습니다.

 

SC_CTHREAD는 SC_THREAD에 clock sensitive list가 추가 되었다고 생각하면 될것 같습니다.

위의 코드를 보면 body 부분의 while과 wait구문만 삭제를 해주면 

 

SC_METHOD로 사용이 가능합니다.

 

여기서 저 while과 wait를 사용하지 않으면 Thread가 시작되는 시점인,

 

프로그램이 처음 시작함과 동시에 해당 함수가 실행이 되며, 단위 시간동안 처리하고 대기하는 것이 아닌(wait가 없으면)

 

모든 구문을 실행하게 됩니다.(이 말은 천천히 생각하면 이해가 되실 겁니다.)

 

 

댓글