본문 바로가기
language/systemC

[System C] 환경 구축하기

by 파란하늘코더 2021. 5. 7.
반응형

기존에 글을 올린 것처럼 집에서 System C를 study하기 위해 준비 중에 있습니다.

 

우선 이 책을 사서 한 번 다 읽어 보았습니다.

뒷 부분에는 많은 내용을 이해하지는 못하지만 큰 틀은

 

"RTL로 구현하기전 architecture를 빨리 구체화 시켜 simulation을 해본다" 

 

라는 의미가 큰 것 같습니다.

 

시작하기에 앞서 우선 System C를 설치합니다

 


1. 설치하기

 

www.accellera.org/downloads/standards/systemc로 이동하여 library 파일을 다운받아줍니다

여기서 맨위의 압축파일을 받아 자신이 원하는 폴더에 압축을 풀어 줍니다.

 

압축을 풀기 위해 configure를 수행해줘야 하는데 build라는 폴더를 만들어 준 후 아래와 같이 명령어를 칩니다.

 

mkdir ~/sysc
mkdir build
cd build
../configure --prefix=$HOME/sysc

 

이렇게 하고, build를 위해서 아래와 같이 명령어를 칩니다.

 

make
make install

 

이렇게 할 경우 ~/sysc에 SystemC가 설치가 됩니다.

 

2. 실행

 

간단하게 아래와 같이 Clock을 gen하는 module을 설계 합니다.(main.cpp)

 

이 main.cpp를 compile을 돌리고 실행을 아래와 같이 수행합니다.

이걸 수행하면 run.exe 파일이 생성되는데 이를 수행하면 System C를 이용한 simulation이 run 하게 됩니다.

3. 결과 확인

 main.cpp에서 생성된 VCD파일을 가지고 잘 생성 되었는지 확인을 해봅니다.

 

gtkwave로 확인을 하면 아래와 같이 clock이 제대로 생성되었는지 확인이 되었습니다.

 

다음부턴 기존에 RTL로 작성했던 image filter를 전부 System C를 이용하여 작성해보겠습니다.

'language > systemC' 카테고리의 다른 글

[systemC] SC_CTHREAD 사용  (0) 2021.05.21
[systemC] image 입력받기  (0) 2021.05.19
[systemC] display sync signal gen  (0) 2021.05.19
[systemC] module instance(SC_METHOD, SC_THREAD, SC_CTHREAD)  (0) 2021.05.16
[systemC] systemC study  (0) 2021.04.28

댓글