본문 바로가기
language/Verilog

[vcs] 명령어 및 option 정리

by 파란하늘코더 2021. 4. 9.
반응형

synopsys사의 VCS와 verdi는 digital logic을 검증하는데 사용하는 compiler, simulation, debug tool 입니다.

 

주로 명령어 창에서 옵션들을 다양하게 붙여서 사용하는데 주로 사용하는 옵션들만 몇개 정리해보겠습니다

 

1. VCS

kdb는 compile시 생성되는 logic의 design file 이며, fsdb는 simulation 파형이 저장되는 파일입니다.

-kdb KDB file 생성
-sverilog systemverilog 사용
-full64 64bit simulation
-reportstats performance/memory 사용량이 report로 출력
-timescale=1ns/1ps compile시 사용할 timescale
-l 파일명 log file을 지정
-o 파일명 excutable object file을 지정
-f 파일명 file list 파일을 지정
-v 파일명 library 파일을 지정
-y 폴더명 library 폴더를 지정
+incdir+폴더명 파일을 찾을 폴더를 include 함
+define+"define명" Define macro

2. Verdi

-dbdir 경로 KDB file을 자동으로 가져옴
-ssf fsdb명 fsdb file을 자동으로 가져옴

 

'language > Verilog' 카테고리의 다른 글

[vcs] vcs, verdi, systemverilog tutorial 강의  (0) 2021.04.10
[verilog] casex, casez 차이  (0) 2021.04.10
[vcs] $dumpvars option  (2) 2021.03.12
[systemverilog] random 함수들  (0) 2021.02.27
[systemverilog] assert, property  (0) 2021.02.21

댓글