본문 바로가기
language/Verilog

[systemverilog] random 함수들

by 파란하늘코더 2021. 2. 27.
반응형

verilog 안에는 random변수를 지원하는 system task들이 있습니다.

 

$random, $urandom, $srandom, $urandom_range, randomize(), std::randomize()

 

$random%숫자 (-숫자+1, 숫자-1) 범위안의 32bit signed int 생성
$urandom%숫자 [0, 숫자) 범위안의 32bit unsigned int 생성
$srandom(seed) seed setting(숫자생성은 x)
$urandom_gen(min, max) [min, max)범위안의 32bit unsigned int 생성
randomize() 클래스 내부 변수를 random하게 생성
std::randomize() 일반 변수를 random 하게 생성

 

(문서들 뒤져보면 더 있는 것 같네요...)

'language > Verilog' 카테고리의 다른 글

[vcs] 명령어 및 option 정리  (0) 2021.04.09
[vcs] $dumpvars option  (2) 2021.03.12
[systemverilog] assert, property  (0) 2021.02.21
[systemverilog] always_comb, always_ff, always_latch  (0) 2021.02.21
[systemverilog] pass by reference  (0) 2021.02.21

댓글