본문 바로가기
language/Verilog

[verilog] system function list

by 파란하늘코더 2021. 2. 6.
반응형

verilog에는 다양한 system function 들이 있습니다

 

그 중에서 주로 사용하는 function들을 정리합니다

 

$monitor : 신호의 값이 변할때 마다 출력

$display : 호출 될 때 마다 출력

$strobe : 같은 시간에 모든 할당문이 끝난 후에 출력

$time : 64bit simulation 시간

$stime : 32bit simulation 시간

$realtime : 현재의 시간을 실수로 리턴

$reset : simiulation time을 0으로 만듬

$stop : simulation을 잠시 멈추고, interactive mode로 변경

$finish : simulation 종료

$readmemb : 파일을 읽어서 메모리에 할당

$writememb : 메모리를 파일로 write

$fopen : 파일 open

$fclose : 파일 close

$random : 32bit random number

 

위에보면 display, strobe, monitor가 헷갈리게 비슷한데, verilog simulator scheduler 그림을 보면

 

동작하는 시간이 다르기 때문에 잘 사용해야 합니다.

 

stackoverflow에 좋은 예시가 있는것 같아 가져옵니다

댓글